欢迎您光临机械网,如有问题请及时联系我们。

Verilog直流电机的pwm控制设计?直流电机PWM调速器该如何设计?

作者:机械网
文章来源:本站

  设计方案 \r 基于Verilog HDL的直流电机PWM设计方案 \r 直流电机PWM控制器产生的PWM波形,只需要FPGA内部资源就可以实现,如数字比较器、锯齿波发生器等均为FPGA内部资源,我们只要直接调用就可以。外部端口Z/F、START接在键盘电路上,其具体的连接方式如图1。 \r 其工作原理是:旋转方向控制电路控制直流电动机转向和启/停,该电路由两个2选1的多路选择器组成,Z/F键控制选择PWM波形是从正端Z进入H桥,还是从负端F进入H桥,以控制电机的旋转方向。当Z/F=1时,PWM输出波形从正端Z进入H桥,电机正转。当 Z/F =0时,PWM输出波形从负端F进入H桥,电机反转。 \r Start键通过“与”门控制PWM输出,实现对电机的工作停止/控制。当START=1时,与门打开,允许电机工作。当START=0时,与门关闭,电机停止转动。 \r H桥电路由大功率晶体管组成,PWM输出波形通过方向控制电路送到 H 桥, 经功率放大以后对直流电机实现四象限运行。 \r 基于FPGA的直流电机PWM控制省去了外接的D/A转换器和模拟比较器,FPGA外部连线很少,电路更加简单,便于控制。FPGA的直流电机PWM控制具有精度高,反应快,外部连线少,电路简单,便于控制等优点。

  

Verilog直流电机的pwm控制设计?直流电机PWM调速器该如何设计?

  

Verilog直流电机的pwm控制设计?直流电机PWM调速器该如何设计?

  

Verilog直流电机的pwm控制设计?直流电机PWM调速器该如何设计?

来源:文章来源于网络,如有侵权请联系我们及时删除。本文由机械网转载编辑,欢迎分享本文!